[Cython] Bindings performance issue

Vitja Makarov vitja.makarov at gmail.com
Sat Jun 4 12:24:42 CEST 2011


I've tried that: https://github.com/vitek/cython/compare/master..._bindings

Results are not bad: 168 failing tests for pyregr2.7 and 463 for py3

-- 
vitja.


More information about the cython-devel mailing list