[pypy-commit] extradoc extradoc: update

fijal noreply at buildbot.pypy.org
Fri Sep 23 15:25:31 CEST 2011


Author: Maciej Fijalkowski <fijall at gmail.com>
Branch: extradoc
Changeset: r3908:89a2213eb5ee
Date: 2011-09-23 15:25 +0200
http://bitbucket.org/pypy/extradoc/changeset/89a2213eb5ee/

Log:	update

diff --git a/talk/pyconar2011/stylesheet.latex b/talk/pyconar2011/stylesheet.latex
--- a/talk/pyconar2011/stylesheet.latex
+++ b/talk/pyconar2011/stylesheet.latex
@@ -1,3 +1,10 @@
+
+%%% Custom LaTeX preamble
+% PDF Standard Fonts
+\usepackage{mathptmx} % Times
+\usepackage[scaled=.90]{helvet}
+\usepackage{courier}
+
 \usepackage{ulem}
 \usetheme{Boadilla}
 \usecolortheme{whale}
diff --git a/talk/pyconar2011/talk.pdf b/talk/pyconar2011/talk.pdf
index e93702bc04681cb3e9f0dc3002ec143c7d320f1c..64c044b01c070b07be9d44ff3fdaf745d45f9263
GIT binary patch

[cut]

diff --git a/talk/pyconar2011/talk.rst b/talk/pyconar2011/talk.rst
--- a/talk/pyconar2011/talk.rst
+++ b/talk/pyconar2011/talk.rst
@@ -17,27 +17,20 @@
 
 * Python is slow
 
-Is it?
-------
+Is python slow?
+---------------
 
 .. image:: wikipedian_protester.png
-   :scale: 700%
+   :scale: 500%
    :align: center
 
-Things you can do with PyPy (and not with CPython)
---------------------------------------------------
+|small|
 
-|pause|
+* http://blog.bossylobster.com/2011/08/lesson-v8-can-teach-python-and-other.html
 
-* real time video processing
+|end_small|
 
-|pause|
-
-* software-rendered games
-
-|pause|
-
-* this is just the beginning!
+* PyPy **29 wins**, Node.js (V8) **20 wins**, one tie
 
 What is PyPy?
 -------------
@@ -55,24 +48,19 @@
 
 * Comes with a JIT compiler
 
-How fast is PyPy?
------------------
+* Stackless
 
-* XXX speed website
+|pause|
 
-* XXX django over time
-
-* XXX v8 vs pypy
+* **fast**
 
 How fast is PyPy?
 -----------------
 
-* pretty damn fast
-
 PyPy 1.6 - status
 -----------------
 
-* Released on XXX
+* Released on 16th of August
 
 * Python 2.7.1
 
@@ -82,6 +70,108 @@
 
 * (C extensions might not)
 
+PyPy 1.6 - status (2)
+---------------------
+
+* numpy (in progress)
+
+* ctypes (fast)
+
+* stable and compatible
+
+PyPy 1.6 - what you can do
+--------------------------
+
+* try using on your own programs
+
+* a lot of libraries just work
+
+* your programs either no or minimal changes
+
+Real world use case (1)
+-----------------------
+
+* LWN's gitdm
+
+  - http://lwn.net/Articles/442268/
+
+  - data mining tool
+
+  - reads the output of ``git log``
+
+  - generate kernel development statistics
+
+|pause|
+
+* Performance
+
+  - CPython: 63 seconds
+
+  - PyPy: **21 seconds**
+
+|pause|
+
+|example<| ``lwn.net`` |>|
+|small|
+
+  [...] PyPy is ready for prime time; it implements the (Python 2.x)
+  language faithfully, and it is fast.
+
+|end_small|
+|end_example|
+
+
+Real world use case (2)
+-----------------------
+
+* **MyHDL**: VHDL-like language written in Python
+
+  - |scriptsize| http://www.myhdl.org/doku.php/performance |end_scriptsize|
+
+  - (now) competitive with "real world" VHDL and Verilog simulators
+
+
+|pause|
+
+|example<| ``myhdl.org`` |>|
+|small|
+
+  [...] the results are spectacular. By simply using a different interpreter,
+  our simulations run 6 to 12 times faster.
+
+|end_small|
+|end_example|
+
+How you can help?
+-----------------
+
+* Try it on your application
+
+  - if it's slow, we want to know!
+
+  - if it does not work, too :-)
+
+  - if it works and it's fast, that as well
+
+* Tell people about PyPy
+
+* Contribute to PyPy! (it's not **that** hard :-))
+
+Things you can do with Python using PyPy
+----------------------------------------
+
+|pause|
+
+* real time video processing
+
+|pause|
+
+* software-rendered games
+
+|pause|
+
+* this is just the beginning!
+
 Contacts, Q/A
 --------------
 


More information about the pypy-commit mailing list