[Python-checkins] bpo-46425: fix direct invocation of `test_importlib` (GH-30682)

asvetlov webhook-mailer at python.org
Sat Jan 22 11:05:09 EST 2022


https://github.com/python/cpython/commit/57316c52bae5d6420f5067f3891ec328deb97305
commit: 57316c52bae5d6420f5067f3891ec328deb97305
branch: main
author: Nikita Sobolev <mail at sobolevn.me>
committer: asvetlov <andrew.svetlov at gmail.com>
date: 2022-01-22T18:05:05+02:00
summary:

bpo-46425: fix direct invocation of `test_importlib` (GH-30682)

files:
M Lib/test/test_importlib/builtin/test_finder.py
M Lib/test/test_importlib/builtin/test_loader.py
M Lib/test/test_importlib/extension/test_case_sensitivity.py
M Lib/test/test_importlib/extension/test_finder.py
M Lib/test/test_importlib/extension/test_loader.py
M Lib/test/test_importlib/extension/test_path_hook.py
M Lib/test/test_importlib/frozen/test_finder.py
M Lib/test/test_importlib/frozen/test_loader.py
M Lib/test/test_importlib/import_/test___loader__.py
M Lib/test/test_importlib/import_/test___package__.py
M Lib/test/test_importlib/import_/test_api.py
M Lib/test/test_importlib/import_/test_caching.py
M Lib/test/test_importlib/import_/test_fromlist.py
M Lib/test/test_importlib/import_/test_meta_path.py
M Lib/test/test_importlib/import_/test_packages.py
M Lib/test/test_importlib/import_/test_path.py
M Lib/test/test_importlib/import_/test_relative_imports.py
M Lib/test/test_importlib/source/test_case_sensitivity.py
M Lib/test/test_importlib/source/test_file_loader.py
M Lib/test/test_importlib/source/test_finder.py
M Lib/test/test_importlib/source/test_path_hook.py
M Lib/test/test_importlib/source/test_source_encoding.py
M Lib/test/test_importlib/test_abc.py
M Lib/test/test_importlib/test_api.py
M Lib/test/test_importlib/test_compatibilty_files.py
M Lib/test/test_importlib/test_contents.py
M Lib/test/test_importlib/test_files.py
M Lib/test/test_importlib/test_lazy.py
M Lib/test/test_importlib/test_locks.py
M Lib/test/test_importlib/test_main.py
M Lib/test/test_importlib/test_metadata_api.py
M Lib/test/test_importlib/test_open.py
M Lib/test/test_importlib/test_path.py
M Lib/test/test_importlib/test_read.py
M Lib/test/test_importlib/test_resource.py
M Lib/test/test_importlib/test_spec.py
M Lib/test/test_importlib/test_util.py
M Lib/test/test_importlib/test_windows.py
M Lib/test/test_importlib/test_zip.py

diff --git a/Lib/test/test_importlib/builtin/test_finder.py b/Lib/test/test_importlib/builtin/test_finder.py
index 6f51abab9bcd1..a4869e07b9c0c 100644
--- a/Lib/test/test_importlib/builtin/test_finder.py
+++ b/Lib/test/test_importlib/builtin/test_finder.py
@@ -1,5 +1,4 @@
-from .. import abc
-from .. import util
+from test.test_importlib import abc, util
 
 machinery = util.import_importlib('importlib.machinery')
 
diff --git a/Lib/test/test_importlib/builtin/test_loader.py b/Lib/test/test_importlib/builtin/test_loader.py
index f6b6d97cd5bce..7e9d1b1960fdd 100644
--- a/Lib/test/test_importlib/builtin/test_loader.py
+++ b/Lib/test/test_importlib/builtin/test_loader.py
@@ -1,5 +1,4 @@
-from .. import abc
-from .. import util
+from test.test_importlib import abc, util
 
 machinery = util.import_importlib('importlib.machinery')
 
diff --git a/Lib/test/test_importlib/extension/test_case_sensitivity.py b/Lib/test/test_importlib/extension/test_case_sensitivity.py
index 20bf035cb5f66..366e565cf4b7a 100644
--- a/Lib/test/test_importlib/extension/test_case_sensitivity.py
+++ b/Lib/test/test_importlib/extension/test_case_sensitivity.py
@@ -2,7 +2,7 @@
 from test.support import os_helper
 import unittest
 import sys
-from .. import util
+from test.test_importlib import util
 
 importlib = util.import_importlib('importlib')
 machinery = util.import_importlib('importlib.machinery')
diff --git a/Lib/test/test_importlib/extension/test_finder.py b/Lib/test/test_importlib/extension/test_finder.py
index e8065d7dadecf..140f20657f736 100644
--- a/Lib/test/test_importlib/extension/test_finder.py
+++ b/Lib/test/test_importlib/extension/test_finder.py
@@ -1,5 +1,4 @@
-from .. import abc
-from .. import util
+from test.test_importlib import abc, util
 
 machinery = util.import_importlib('importlib.machinery')
 
diff --git a/Lib/test/test_importlib/extension/test_loader.py b/Lib/test/test_importlib/extension/test_loader.py
index 8fd556dbed57a..e7a88a8f5e321 100644
--- a/Lib/test/test_importlib/extension/test_loader.py
+++ b/Lib/test/test_importlib/extension/test_loader.py
@@ -1,6 +1,5 @@
 from warnings import catch_warnings
-from .. import abc
-from .. import util
+from test.test_importlib import abc, util
 
 machinery = util.import_importlib('importlib.machinery')
 
diff --git a/Lib/test/test_importlib/extension/test_path_hook.py b/Lib/test/test_importlib/extension/test_path_hook.py
index a4b5a64aae2a7..a0adc70ad1ec4 100644
--- a/Lib/test/test_importlib/extension/test_path_hook.py
+++ b/Lib/test/test_importlib/extension/test_path_hook.py
@@ -1,4 +1,4 @@
-from .. import util
+from test.test_importlib import util
 
 machinery = util.import_importlib('importlib.machinery')
 
diff --git a/Lib/test/test_importlib/frozen/test_finder.py b/Lib/test/test_importlib/frozen/test_finder.py
index 66080b2ade009..069755606b40a 100644
--- a/Lib/test/test_importlib/frozen/test_finder.py
+++ b/Lib/test/test_importlib/frozen/test_finder.py
@@ -1,5 +1,4 @@
-from .. import abc
-from .. import util
+from test.test_importlib import abc, util
 
 machinery = util.import_importlib('importlib.machinery')
 
diff --git a/Lib/test/test_importlib/frozen/test_loader.py b/Lib/test/test_importlib/frozen/test_loader.py
index f1ccb8a188aca..f2df7e60bf8e3 100644
--- a/Lib/test/test_importlib/frozen/test_loader.py
+++ b/Lib/test/test_importlib/frozen/test_loader.py
@@ -1,5 +1,4 @@
-from .. import abc
-from .. import util
+from test.test_importlib import abc, util
 
 machinery = util.import_importlib('importlib.machinery')
 
diff --git a/Lib/test/test_importlib/import_/test___loader__.py b/Lib/test/test_importlib/import_/test___loader__.py
index ecd83c6567e70..eaf665a6f5b5a 100644
--- a/Lib/test/test_importlib/import_/test___loader__.py
+++ b/Lib/test/test_importlib/import_/test___loader__.py
@@ -4,7 +4,7 @@
 import unittest
 import warnings
 
-from .. import util
+from test.test_importlib import util
 
 
 class SpecLoaderMock:
diff --git a/Lib/test/test_importlib/import_/test___package__.py b/Lib/test/test_importlib/import_/test___package__.py
index 4a2b34e5f67f2..1ab5018a431de 100644
--- a/Lib/test/test_importlib/import_/test___package__.py
+++ b/Lib/test/test_importlib/import_/test___package__.py
@@ -6,7 +6,7 @@
 """
 import unittest
 import warnings
-from .. import util
+from test.test_importlib import util
 
 
 class Using__package__:
diff --git a/Lib/test/test_importlib/import_/test_api.py b/Lib/test/test_importlib/import_/test_api.py
index 35c26977ea315..0ee032b0206df 100644
--- a/Lib/test/test_importlib/import_/test_api.py
+++ b/Lib/test/test_importlib/import_/test_api.py
@@ -1,4 +1,4 @@
-from .. import util
+from test.test_importlib import util
 
 from importlib import machinery
 import sys
diff --git a/Lib/test/test_importlib/import_/test_caching.py b/Lib/test/test_importlib/import_/test_caching.py
index 0f987b22100c9..3ca765fb4ada9 100644
--- a/Lib/test/test_importlib/import_/test_caching.py
+++ b/Lib/test/test_importlib/import_/test_caching.py
@@ -1,5 +1,5 @@
 """Test that sys.modules is used properly by import."""
-from .. import util
+from test.test_importlib import util
 import sys
 from types import MethodType
 import unittest
diff --git a/Lib/test/test_importlib/import_/test_fromlist.py b/Lib/test/test_importlib/import_/test_fromlist.py
index deb21710a61fa..4b4b9bc3f5e04 100644
--- a/Lib/test/test_importlib/import_/test_fromlist.py
+++ b/Lib/test/test_importlib/import_/test_fromlist.py
@@ -1,5 +1,5 @@
 """Test that the semantics relating to the 'fromlist' argument are correct."""
-from .. import util
+from test.test_importlib import util
 import warnings
 import unittest
 
diff --git a/Lib/test/test_importlib/import_/test_meta_path.py b/Lib/test/test_importlib/import_/test_meta_path.py
index 5730119fe9933..c8b898ec23785 100644
--- a/Lib/test/test_importlib/import_/test_meta_path.py
+++ b/Lib/test/test_importlib/import_/test_meta_path.py
@@ -1,4 +1,4 @@
-from .. import util
+from test.test_importlib import util
 import importlib._bootstrap
 import sys
 from types import MethodType
diff --git a/Lib/test/test_importlib/import_/test_packages.py b/Lib/test/test_importlib/import_/test_packages.py
index c73ac63f6eef3..eb0831f7d6d54 100644
--- a/Lib/test/test_importlib/import_/test_packages.py
+++ b/Lib/test/test_importlib/import_/test_packages.py
@@ -1,4 +1,4 @@
-from .. import util
+from test.test_importlib import util
 import sys
 import unittest
 from test import support
diff --git a/Lib/test/test_importlib/import_/test_path.py b/Lib/test/test_importlib/import_/test_path.py
index 57a25228fc043..6f1d0cabd28a6 100644
--- a/Lib/test/test_importlib/import_/test_path.py
+++ b/Lib/test/test_importlib/import_/test_path.py
@@ -1,4 +1,4 @@
-from .. import util
+from test.test_importlib import util
 
 importlib = util.import_importlib('importlib')
 machinery = util.import_importlib('importlib.machinery')
diff --git a/Lib/test/test_importlib/import_/test_relative_imports.py b/Lib/test/test_importlib/import_/test_relative_imports.py
index 41aa18269952f..99c24f1fd9487 100644
--- a/Lib/test/test_importlib/import_/test_relative_imports.py
+++ b/Lib/test/test_importlib/import_/test_relative_imports.py
@@ -1,5 +1,5 @@
 """Test relative imports (PEP 328)."""
-from .. import util
+from test.test_importlib import util
 import unittest
 import warnings
 
diff --git a/Lib/test/test_importlib/source/test_case_sensitivity.py b/Lib/test/test_importlib/source/test_case_sensitivity.py
index 19543f4a6653a..9d472707abe84 100644
--- a/Lib/test/test_importlib/source/test_case_sensitivity.py
+++ b/Lib/test/test_importlib/source/test_case_sensitivity.py
@@ -1,7 +1,7 @@
 """Test case-sensitivity (PEP 235)."""
 import sys
 
-from .. import util
+from test.test_importlib import util
 
 importlib = util.import_importlib('importlib')
 machinery = util.import_importlib('importlib.machinery')
diff --git a/Lib/test/test_importlib/source/test_file_loader.py b/Lib/test/test_importlib/source/test_file_loader.py
index 1065ac55fce3f..378dcbe08a805 100644
--- a/Lib/test/test_importlib/source/test_file_loader.py
+++ b/Lib/test/test_importlib/source/test_file_loader.py
@@ -1,5 +1,4 @@
-from .. import abc
-from .. import util
+from test.test_importlib import abc, util
 
 importlib = util.import_importlib('importlib')
 importlib_abc = util.import_importlib('importlib.abc')
diff --git a/Lib/test/test_importlib/source/test_finder.py b/Lib/test/test_importlib/source/test_finder.py
index 80e930cc6a1f2..6a23e9d50f6ff 100644
--- a/Lib/test/test_importlib/source/test_finder.py
+++ b/Lib/test/test_importlib/source/test_finder.py
@@ -1,5 +1,4 @@
-from .. import abc
-from .. import util
+from test.test_importlib import abc, util
 
 machinery = util.import_importlib('importlib.machinery')
 
diff --git a/Lib/test/test_importlib/source/test_path_hook.py b/Lib/test/test_importlib/source/test_path_hook.py
index 795d436c3b954..ead62f5e945e2 100644
--- a/Lib/test/test_importlib/source/test_path_hook.py
+++ b/Lib/test/test_importlib/source/test_path_hook.py
@@ -1,4 +1,4 @@
-from .. import util
+from test.test_importlib import util
 
 machinery = util.import_importlib('importlib.machinery')
 
diff --git a/Lib/test/test_importlib/source/test_source_encoding.py b/Lib/test/test_importlib/source/test_source_encoding.py
index c0b9b031262eb..c09c9aa12b862 100644
--- a/Lib/test/test_importlib/source/test_source_encoding.py
+++ b/Lib/test/test_importlib/source/test_source_encoding.py
@@ -1,4 +1,4 @@
-from .. import util
+from test.test_importlib import util
 
 machinery = util.import_importlib('importlib.machinery')
 
diff --git a/Lib/test/test_importlib/test_abc.py b/Lib/test/test_importlib/test_abc.py
index 45cbf90791708..92cb78067d0eb 100644
--- a/Lib/test/test_importlib/test_abc.py
+++ b/Lib/test/test_importlib/test_abc.py
@@ -9,7 +9,7 @@
 from unittest import mock
 import warnings
 
-from . import util as test_util
+from test.test_importlib import util as test_util
 
 init = test_util.import_importlib('importlib')
 abc = test_util.import_importlib('importlib.abc')
diff --git a/Lib/test/test_importlib/test_api.py b/Lib/test/test_importlib/test_api.py
index 763b2add07307..1f8f7c00bda53 100644
--- a/Lib/test/test_importlib/test_api.py
+++ b/Lib/test/test_importlib/test_api.py
@@ -1,4 +1,4 @@
-from . import util as test_util
+from test.test_importlib import util as test_util
 
 init = test_util.import_importlib('importlib')
 util = test_util.import_importlib('importlib.util')
diff --git a/Lib/test/test_importlib/test_compatibilty_files.py b/Lib/test/test_importlib/test_compatibilty_files.py
index 9a823f2d93058..18cbdee6ce475 100644
--- a/Lib/test/test_importlib/test_compatibilty_files.py
+++ b/Lib/test/test_importlib/test_compatibilty_files.py
@@ -8,7 +8,7 @@
     wrap_spec,
 )
 
-from .resources import util
+from test.test_importlib.resources import util
 
 
 class CompatibilityFilesTests(unittest.TestCase):
@@ -100,3 +100,7 @@ def files(self):
 
     def test_spec_path_joinpath(self):
         self.assertIsInstance(self.files / 'a', CompatibilityFiles.OrphanPath)
+
+
+if __name__ == '__main__':
+    unittest.main()
diff --git a/Lib/test/test_importlib/test_contents.py b/Lib/test/test_importlib/test_contents.py
index 3323bf5b5cf56..a5b6538a2fc79 100644
--- a/Lib/test/test_importlib/test_contents.py
+++ b/Lib/test/test_importlib/test_contents.py
@@ -1,8 +1,8 @@
 import unittest
 from importlib import resources
 
-from . import data01
-from .resources import util
+from test.test_importlib import data01
+from test.test_importlib.resources import util
 
 
 class ContentsTests:
@@ -38,6 +38,10 @@ class ContentsNamespaceTests(ContentsTests, unittest.TestCase):
     }
 
     def setUp(self):
-        from . import namespacedata01
+        from test.test_importlib import namespacedata01
 
         self.data = namespacedata01
+
+
+if __name__ == '__main__':
+    unittest.main()
diff --git a/Lib/test/test_importlib/test_files.py b/Lib/test/test_importlib/test_files.py
index b9170d83bea91..3f28b55509bc1 100644
--- a/Lib/test/test_importlib/test_files.py
+++ b/Lib/test/test_importlib/test_files.py
@@ -3,8 +3,8 @@
 
 from importlib import resources
 from importlib.abc import Traversable
-from . import data01
-from .resources import util
+from test.test_importlib import data01
+from test.test_importlib.resources import util
 
 
 class FilesTests:
@@ -37,7 +37,7 @@ class OpenZipTests(FilesTests, util.ZipSetup, unittest.TestCase):
 
 class OpenNamespaceTests(FilesTests, unittest.TestCase):
     def setUp(self):
-        from . import namespacedata01
+        from test.test_importlib import namespacedata01
 
         self.data = namespacedata01
 
diff --git a/Lib/test/test_importlib/test_lazy.py b/Lib/test/test_importlib/test_lazy.py
index 28608e95d060f..cc993f333e355 100644
--- a/Lib/test/test_importlib/test_lazy.py
+++ b/Lib/test/test_importlib/test_lazy.py
@@ -5,7 +5,7 @@
 import types
 import unittest
 
-from . import util as test_util
+from test.test_importlib import util as test_util
 
 
 class CollectInit:
diff --git a/Lib/test/test_importlib/test_locks.py b/Lib/test/test_importlib/test_locks.py
index 9290bac80a78a..584d013caacad 100644
--- a/Lib/test/test_importlib/test_locks.py
+++ b/Lib/test/test_importlib/test_locks.py
@@ -1,4 +1,4 @@
-from . import util as test_util
+from test.test_importlib import util as test_util
 
 init = test_util.import_importlib('importlib')
 
diff --git a/Lib/test/test_importlib/test_main.py b/Lib/test/test_importlib/test_main.py
index 2e120f7ac50ac..77e3dd7e08472 100644
--- a/Lib/test/test_importlib/test_main.py
+++ b/Lib/test/test_importlib/test_main.py
@@ -9,9 +9,9 @@
 try:
     import pyfakefs.fake_filesystem_unittest as ffs
 except ImportError:
-    from .stubs import fake_filesystem_unittest as ffs
+    from test.test_importlib.stubs import fake_filesystem_unittest as ffs
 
-from . import fixtures
+from test.test_importlib import fixtures
 from importlib.metadata import (
     Distribution,
     EntryPoint,
@@ -315,3 +315,7 @@ def test_packages_distributions_neither_toplevel_nor_files(self):
             prefix=self.site_dir,
         )
         packages_distributions()
+
+
+if __name__ == '__main__':
+    unittest.main()
diff --git a/Lib/test/test_importlib/test_metadata_api.py b/Lib/test/test_importlib/test_metadata_api.py
index e16773a7e87ef..24d46c3d28013 100644
--- a/Lib/test/test_importlib/test_metadata_api.py
+++ b/Lib/test/test_importlib/test_metadata_api.py
@@ -5,7 +5,7 @@
 import importlib
 import contextlib
 
-from . import fixtures
+from test.test_importlib import fixtures
 from importlib.metadata import (
     Distribution,
     PackageNotFoundError,
@@ -313,3 +313,7 @@ class InvalidateCache(unittest.TestCase):
     def test_invalidate_cache(self):
         # No externally observable behavior, but ensures test coverage...
         importlib.invalidate_caches()
+
+
+if __name__ == '__main__':
+    unittest.main()
diff --git a/Lib/test/test_importlib/test_open.py b/Lib/test/test_importlib/test_open.py
index df75e343d2c5b..ab390269e08f2 100644
--- a/Lib/test/test_importlib/test_open.py
+++ b/Lib/test/test_importlib/test_open.py
@@ -1,8 +1,8 @@
 import unittest
 
 from importlib import resources
-from . import data01
-from .resources import util
+from test.test_importlib import data01
+from test.test_importlib.resources import util
 
 
 class CommonBinaryTests(util.CommonTests, unittest.TestCase):
@@ -68,7 +68,7 @@ def setUp(self):
 
 class OpenDiskNamespaceTests(OpenTests, unittest.TestCase):
     def setUp(self):
-        from . import namespacedata01
+        from test.test_importlib import namespacedata01
 
         self.data = namespacedata01
 
diff --git a/Lib/test/test_importlib/test_path.py b/Lib/test/test_importlib/test_path.py
index 6fc41f301d1ca..66dc0b215ad9f 100644
--- a/Lib/test/test_importlib/test_path.py
+++ b/Lib/test/test_importlib/test_path.py
@@ -2,8 +2,8 @@
 import unittest
 
 from importlib import resources
-from . import data01
-from .resources import util
+from test.test_importlib import data01
+from test.test_importlib.resources import util
 
 
 class CommonTests(util.CommonTests, unittest.TestCase):
diff --git a/Lib/test/test_importlib/test_read.py b/Lib/test/test_importlib/test_read.py
index ebd72267776d9..7e907e4c8c59c 100644
--- a/Lib/test/test_importlib/test_read.py
+++ b/Lib/test/test_importlib/test_read.py
@@ -1,8 +1,8 @@
 import unittest
 
 from importlib import import_module, resources
-from . import data01
-from .resources import util
+from test.test_importlib import data01
+from test.test_importlib.resources import util
 
 
 class CommonBinaryTests(util.CommonTests, unittest.TestCase):
@@ -66,7 +66,7 @@ def test_read_submodule_resource_by_name(self):
 
 class ReadNamespaceTests(ReadTests, unittest.TestCase):
     def setUp(self):
-        from . import namespacedata01
+        from test.test_importlib import namespacedata01
 
         self.data = namespacedata01
 
diff --git a/Lib/test/test_importlib/test_resource.py b/Lib/test/test_importlib/test_resource.py
index 834b8bd8a2818..825d1b0eb054e 100644
--- a/Lib/test/test_importlib/test_resource.py
+++ b/Lib/test/test_importlib/test_resource.py
@@ -3,9 +3,8 @@
 import uuid
 import pathlib
 
-from . import data01
-from . import zipdata01, zipdata02
-from .resources import util
+from test.test_importlib import data01, zipdata01, zipdata02
+from test.test_importlib.resources import util
 from importlib import resources, import_module
 from test.support import import_helper
 from test.support.os_helper import unlink
diff --git a/Lib/test/test_importlib/test_spec.py b/Lib/test/test_importlib/test_spec.py
index dcb0527e33cfe..21e2c02094f22 100644
--- a/Lib/test/test_importlib/test_spec.py
+++ b/Lib/test/test_importlib/test_spec.py
@@ -1,4 +1,4 @@
-from . import util as test_util
+from test.test_importlib import util as test_util
 
 init = test_util.import_importlib('importlib')
 machinery = test_util.import_importlib('importlib.machinery')
diff --git a/Lib/test/test_importlib/test_util.py b/Lib/test/test_importlib/test_util.py
index 104452267c067..c77c7814a9ccd 100644
--- a/Lib/test/test_importlib/test_util.py
+++ b/Lib/test/test_importlib/test_util.py
@@ -1,4 +1,5 @@
-from . import util
+from test.test_importlib import util
+
 abc = util.import_importlib('importlib.abc')
 init = util.import_importlib('importlib')
 machinery = util.import_importlib('importlib.machinery')
diff --git a/Lib/test/test_importlib/test_windows.py b/Lib/test/test_importlib/test_windows.py
index 6f09c5a7a5a46..b3e8e7e6d63fc 100644
--- a/Lib/test/test_importlib/test_windows.py
+++ b/Lib/test/test_importlib/test_windows.py
@@ -1,4 +1,4 @@
-from . import util as test_util
+from test.test_importlib import util as test_util
 machinery = test_util.import_importlib('importlib.machinery')
 
 import os
@@ -6,10 +6,9 @@
 import sys
 import unittest
 import warnings
-from test import support
 from test.support import import_helper
 from contextlib import contextmanager
-from .util import temp_module
+from test.test_importlib.util import temp_module
 
 import_helper.import_module('winreg', required_on=['win'])
 from winreg import (
@@ -178,3 +177,6 @@ def test_path_join(self):
         self.check_join("C:", "C:", "")
         self.check_join("//Server/Share\\", "//Server/Share/", "")
         self.check_join("//Server/Share\\", "//Server/Share", "")
+
+if __name__ == '__main__':
+    unittest.main()
diff --git a/Lib/test/test_importlib/test_zip.py b/Lib/test/test_importlib/test_zip.py
index 276f6288c9159..a9f5c68ac60d7 100644
--- a/Lib/test/test_importlib/test_zip.py
+++ b/Lib/test/test_importlib/test_zip.py
@@ -1,7 +1,7 @@
 import sys
 import unittest
 
-from . import fixtures
+from test.test_importlib import fixtures
 from importlib.metadata import (
     PackageNotFoundError,
     distribution,
@@ -60,3 +60,6 @@ def test_files(self):
     def test_normalized_name(self):
         dist = distribution('example')
         assert dist._normalized_name == 'example'
+
+if __name__ == '__main__':
+    unittest.main()



More information about the Python-checkins mailing list